You’re reading the Apple Newsroom

Apple silicon to be built on 2nm technology in 2025 as TSMC showcases first prototypes

Omar Moharram
Omar Moharram - Senior Editor
3 Min Read

TSMC is hard at work to develop the next-generation semiconductor node process beyond the current 3-nanometer technology. The silicon fabricator has shown Apple early prototypes of the upcoming 2-nanometer node process as progress appears to be on track, according to a new report from the Financial Times.

TSMC’s 2-nanometer process, otherwise known as “N2”, has been planned and in development for a while. According to today’s report, TSMC has already demonstrated some demos of what the 2nm process would be capable of to some of its major clients including Apple and Nvidia.

However, TSMC isn’t the only the only silicon fabricator to be actively working on 2nm. Samsung and Intel are also developing the technology, with the Korean giant said to be offering deep discounts for its 2nm chips for Nvidia in a bid to sway it away from TSMC. On the other hand, these incentives are unlikely to work for Apple as the company is expected to stick with the Taiwanese giant following a very fruitful decade-long relationship.

The first chips to be mass-produced on the 2nm process should hit the market in 2025, TSMC has confirmed to Financial Times. Apple is likely to be one of the first customers of 2nm chips as has been the case with previous node advancements. The A17 Pro and M3 lineup are the first and only consumer-grade chips to be built on 3nm, with Apple utilizing almost all of TSMC’s supply of the shrunken node.

2nm chips are anticipated to play a crucial role in advancing upcoming Apple silicon chips, as well as powering next-level data center infrastructure for server-side generative artificial intelligence capabilities. Beyond the expected transistor density, performance, and efficiency gains with every new node, TSMC will utilize a new transistor technology known as “Gate-All-Around” (GAAFET).

Apple will likely stick with some form of enhanced 3nm “N3E” node with next year’s “A18 Pro” chip as part of the company’s tick-tock cycle before introducing 2nm chips in late 2025 or after. Past 2nm, silicon chipmakers will be looking at 1.8nm nodes and beyond.

Share this Article

Editor's Pick

Supercharged is not just another news outlet. We’re a platform on a mission to offer personalized and ad-free news directly to you. Discover more of Supercharged.

You’re reading the Apple Newsroom

  • Loading stock data...

Apple silicon to be built on 2nm technology in 2025 as TSMC showcases first prototypes

Omar Moharram
Omar Moharram - Senior Editor
3 Min Read

TSMC is hard at work to develop the next-generation semiconductor node process beyond the current 3-nanometer technology. The silicon fabricator has shown Apple early prototypes of the upcoming 2-nanometer node process as progress appears to be on track, according to a new report from the Financial Times.

TSMC’s 2-nanometer process, otherwise known as “N2”, has been planned and in development for a while. According to today’s report, TSMC has already demonstrated some demos of what the 2nm process would be capable of to some of its major clients including Apple and Nvidia.

However, TSMC isn’t the only the only silicon fabricator to be actively working on 2nm. Samsung and Intel are also developing the technology, with the Korean giant said to be offering deep discounts for its 2nm chips for Nvidia in a bid to sway it away from TSMC. On the other hand, these incentives are unlikely to work for Apple as the company is expected to stick with the Taiwanese giant following a very fruitful decade-long relationship.

The first chips to be mass-produced on the 2nm process should hit the market in 2025, TSMC has confirmed to Financial Times. Apple is likely to be one of the first customers of 2nm chips as has been the case with previous node advancements. The A17 Pro and M3 lineup are the first and only consumer-grade chips to be built on 3nm, with Apple utilizing almost all of TSMC’s supply of the shrunken node.

2nm chips are anticipated to play a crucial role in advancing upcoming Apple silicon chips, as well as powering next-level data center infrastructure for server-side generative artificial intelligence capabilities. Beyond the expected transistor density, performance, and efficiency gains with every new node, TSMC will utilize a new transistor technology known as “Gate-All-Around” (GAAFET).

Apple will likely stick with some form of enhanced 3nm “N3E” node with next year’s “A18 Pro” chip as part of the company’s tick-tock cycle before introducing 2nm chips in late 2025 or after. Past 2nm, silicon chipmakers will be looking at 1.8nm nodes and beyond.

Share this Article
Secured By miniOrange